Article | REF: E2461 V2

Test of integrated digital circuits - Design For Testability

Authors: Mounir BENABDENBI, Régis LEVEUGLE

Publication date: May 10, 2022

You do not have access to this resource.
Click here to request your free trial access!

Already subscribed? Log in!


Français

2. IEEE 1149.1 boundary scan standard

A major difference from the above is that the devices in the IEEE 1149.1 are not primarily intended for testing the circuit itself, but to support the testability of boards and equipment. This hierarchical use of the standard in a product is not detailed here; this paragraph presents the basic concepts of the approach and the main elements to be integrated into a circuit to make it compatible with this standard. We detail the architectural aspects of this standard to enable a better understanding of the following section dedicated to system-on-chip testing. Indeed, the standards that have been defined subsequently take up some of the principles of this standard to solve the problems of observability and controllability. Nevertheless, for further details, the official IEEE standard test access port and boundary scan...

You do not have access to this resource.

Exclusive to subscribers. 97% yet to be discovered!

You do not have access to this resource.
Click here to request your free trial access!

Already subscribed? Log in!


The Ultimate Scientific and Technical Reference

A Comprehensive Knowledge Base, with over 1,200 authors and 100 scientific advisors
+ More than 10,000 articles and 1,000 how-to sheets, over 800 new or updated articles every year
From design to prototyping, right through to industrialization, the reference for securing the development of your industrial projects

This article is included in

Electronics

This offer includes:

Knowledge Base

Updated and enriched with articles validated by our scientific committees

Services

A set of exclusive tools to complement the resources

Practical Path

Operational and didactic, to guarantee the acquisition of transversal skills

Doc & Quiz

Interactive articles with quizzes, for constructive reading

Subscribe now!

Ongoing reading
IEEE 1149.1 boundary scan standard